Dieser Artikel zeigt Ihnen, wie Sie Ihr erstes FPGA-Gerät am besten auf Ihrem Computer. Beginnen Sie mit der Nutzung von Intel® Quartus®-Programm für die FPGA-Programmierung mit dieser einfachen Übung. Damit das FPGA eine der 8 grünen Kunden-LEDs auf der Platine blinken lässt, müssen Sie zunächst einen Verilog-Code generieren, Pins zuweisen und Zeitbeschränkungen auferlegen. Eine LED wird mit einem der Ausgangsbits des Zählers verbunden, wenn Sie ihn mit einem 50-MHz-Takteingang (bereitgestellt von einem integrierten Oszillator) ansteuern.

Terasic DE10-Nano-Hardware-Kit wird verwendet

Für Macher, IoT-Entwickler und Pädagogen, Terasic DE10-Nano Steuerplatine. Es basiert auf einem Intel® Cyclone V SoC FPGA, das eine programmierbare Hardware bietet Designplattform. Das Kit ist hier erhältlich.

Software Suite Lite Edition von Intel Quartus Prime

Weil es kostenlos heruntergeladen werden kann und keine Lizenzierung erfordert file, das FPGA Software Das hier verwendete Programm eignet sich hervorragend für Anfänger. Die Anwendung kann heruntergeladen werden. Sie können mit der Erstellung eines Projekts beginnen, sobald die Intel® Quartus®-Software heruntergeladen und installiert wurde. Mit den folgenden Schritten können Sie Ihr erstes FPGA-Gerät optimal auf Ihrem Computer einrichten.

Schritt 1: Erstellen Sie ein Softwareprojekt mit Intel® Quartus®

Öffnen Sie die Lite-Edition des Intel Quartus Prime Software Suite-Assistenten zum Erstellen eines neuen Projekts. Wählen Sie „Weiter“. Das Verzeichnis, der Name und die Entität der obersten Ebene sollten ausgewählt werden. Wählen Sie „Ja“, wenn Sie gefragt werden, ob Sie das Verzeichnis

erstellen möchten Schritt 2: Erstellen Sie eine HDL (Hardware Description Language) 

Die von uns verwendete HDL ist Verilog. Wenn Sie neu in HDL schreiben, aber mit der Programmiersprache C vertraut sind, ähnelt Verilog C darin, dass jeder Satz mit einem Semikolon abgeschlossen werden muss.

Öffnen Sie die Registerkarte Datei des Hauptfensters und klicken Sie dann auf Neu, nachdem Sie Verilog ausgewählt haben HDL-Datei, klicken Sie im nächsten Schritt auf OK Datei auswählen und dann auf Speichern unter. Drücken Sie dann Speichern

Schritt 3: Erstellen Sie ein Verilog-Modul                                

Dieser Verilog-Code sollte kopiert und in das Fenster eingefügt werden. Um eine Syntaxprüfung durchzuführen und mit der Synthese des Verilog-Codes zu beginnen, klicken Sie mit der rechten Maustaste auf Analysis and Synthesis und wählen Sie dann Start.

Schritt 4: Pin-Zuweisungen auswählen

Wählen Sie Zuweisungen > Pin-Planer in der oberen Navigationsleiste.

Schritt 5: Erstellen Sie eine SDC-Datei

Die zeitlichen Einschränkungen für das Design müssen vor dem bereitgestellt werden Verilog-Code wird kompiliert. Um der Intel® Quartus®-Software beizubringen, wie das Timing für Designs geschlossen wird, erstellen Sie eine SDC-Datei, die Befehle enthält.

Schritt 6: Verilog-Code kompilieren

Klicken Sie auf Start, nachdem Sie auf Compile Design geklickt haben. Die Tools führen als nächstes eine Zeitanalyse durch, platzieren und routen und bauen das Design zusammen und synthetisieren es. Die Kompilierung sollte aufgrund von nur wenigen Codezeilen in wenigen Minuten abgeschlossen sein.

Schritt 7: FPGA aktivieren 

Das FPGA muss als programmiert werden der letzte Schritt. Achten Sie darauf, die SD-Karte aus dem Board, bevor wir das tun.

Verwenden Sie die USB-Blaster-Anschluss zum Anschließen des Boards an Ihren Computer Schließen Sie das Board an die Stromversorgung an und prüfen Sie, ob blaues Licht leuchtet. In der Nähe des USB-Blaster-II-Ports J13 leuchtete eine LED. Klicken Sie mit der rechten Maustaste, um das Programm zu öffnen. Wählen Sie Hardware Setup Wählen Sie DE-SoC aus der Dropdown-Liste für die aktuell ausgewählte Hardware und klicken Sie dann auf Ausgewählte Hardware schließen. Um die JTAG-Kette auf der Platine zu lokalisieren, klicken Sie auf Automatische Erkennung. Wählen Sie Gadget 5CSEBA6. Dies ist eine FPGA-Gadget-Änderungsdatei, die durch Rechtsklicken in der Datei ausgewählt werden kann Spalte für das 5CSEBA6-Gerät Nach Auswahl des Programms/Configure klicken Sie auf Start

Sie können ganz einfach Ihr bestes FPGA auf Ihrem Computer, indem Sie alle Schritte befolgen.

Categories: IT Info