這篇文章教授在您的計算機。開始使用 英特爾®通過這個簡單的練習進行 FPGA 編程的 Quartus® 程序。要讓 FPGA 閃爍板上 8 個綠色客戶 LED 中的任何一個,您必須首先生成 Verilog 代碼、分配引腳並施加時間限制。當您使用 50 MHz 時鐘輸入(由板載振盪器提供)驅動計數器時,LED 將連接到其中一個輸出位。

使用了 Terasic DE10-Nano 硬件套件

strong>

對於創客、物聯網開發人員和教育工作者,Terasic DE10-Nano 控制板。它基於英特爾® Cyclone V SoC FPGA,提供可編程的硬件設計平台。該套件可在此處獲得。

英特爾 Quartus Prime 軟件套件精簡版

因為它可以免費下載並且不需要許可 文件,FPGA software 此處使用的程序非常適合新手。該應用程序可以下載。下載並安裝英特爾® Quartus® 軟件後,您就可以開始構建項目。以下步驟可幫助您以最佳方式在您的計算機上設置您的第一個 FPGA 設備。

第 1 步:使用英特爾® Quartus® 創建一個軟件項目

打開 Intel Quartus Prime Software Suite Wizard 的 Lite Edition 以創建新項目 選擇 Next 應選擇目錄、名稱和頂級實體 當詢問您是否要創建目錄時選擇 Yes

第 2 步:創建 HDL(硬件描述語言)

我們採用的 HDL 是 Verilog。如果您是使用 HDL 編寫的新手,但熟悉 C 編程語言,Verilog 與 C 的相似之處在於每個句子都必須以分號結尾。

打開主窗口的 F​​ile 選項卡,然後在選擇 Verilog 後單擊 New HDL File,點擊OK Select File,然後在下一步中Save As。然後按保存

第 3 步:製作 Verilog 模塊                       

應該將這個 Verilog 代碼複製並粘貼到窗口中。要運行語法檢查並開始合成 Verilog 代碼,請右鍵單擊 Analysis and Synthesis,然後選擇 Start。

第 4 步:選擇 Pin Assignments

從頂部導航欄中選擇 Assignments > Pin Planner。

第 5 步:創建 SDC 文件

必須在設計之前提供時序限制編譯 Verilog 代碼。為了指導英特爾® Quartus® 軟件如何關閉設計時序,您將生成一個包含命令的 SDC 文件。

第 6 步:編譯 Verilog 代碼

點擊編譯設計後點擊開始。這些工具接下來將執行時序分析、佈局和佈線,以及組裝和綜合設計。由於只需幾行代碼,編譯應該會在幾分鐘內完成。

第 7 步:激活 FPGA

必須將 FPGA 編程為最後一步。請小心使用 SD 卡 在我們這樣做之前退出董事會。

利用 USB blaster 端口,用於將開發板連接到您的計算機 將開發板連接到電源並檢查是否有藍光。在 J13 USB Blaster II 端口附近,一個 LED 亮起 右鍵單擊以打開 Program Device 選擇 Hardware Setup 從下拉列表中為當前選定的硬件選擇 DE-SoC,然後單擊 Close Hardware Selected 要定位板上的 JTAG 鏈,請單擊 Auto Detect 選擇小工具 5CSEBA6。這是一個 FPGA 小工具 更改文件可以通過在文件中單擊右鍵選擇 5CSEBA6 設備的列 選擇程序後/配置欄,點擊開始

可以在你的computer 按照所有步驟操作。

Categories: IT Info